Purnank H.G. VHDL Emacs English
UpWhat Is EmacsVHDL Emacs TechnicalVHDL Emacs English

 

What do you need with a good editor?
This is what you can do with EMACS especially for VHDL. There are other options too.

Alignment Align the source.
Auto completion Auto Completion is aviable. Use TAB for the same.
Comments "select a block, use C-SPC to do it. Then C-c C-c."
insert -- in the first line then keep on pressing RET/Enter key.
press --- for inserting a line for comment.
press ---- to insert a comment block.
Hide/Show Hide or show a block.
Indentation Indent the code.
Insert Header Header and Footer templates are automatically inserted.
Insert template. "Template for entities, architecture, etc are automatically inserted."
Port "Copy structure of Port as entiy, component, instance, and so on."
Crete test bench too.
Stuttering Do you like inserting := <= => and ( ) and such things. Fingers feel fatigue after few hours. Use STUTTERING.
use ;; for : ;;; for := and so on. Click Here.
Syntax Highlight Emacs Highlights the current buffer. With keywords in different color.
Version Control "Emacs supports CVS Concurrent Version System, RCS Revision Control System, etc."
"You can also configure Emacs to use its own revision control when saving the files. i.e. when files are saved, previous data is automatically backed up. The total number of backups are configurable."

Site developed and maintianed by purnank <AT> sancharnet.in Sign My GuestBook.
Hosted by www.Geocities.ws

1